Авторефераты по всем темам  >>  Авторефераты по техническим специальностям

На правах рукописи

ГАВРИЛОВ ЕГОР ВАЛЕРЬЕВИЧ

ОПТИКА ДЛЯ ФОРМИРОВАНИЯ НАНОСТРУКТУР В ЭКСПЕРИМЕНТАЛЬНОМ НАНОЛИТОГРАФЕ

Специальность: 05.11.01 Приборы и методы измерения (механические величины)

АВТОРЕФЕРАТ

диссертации на соискание ученой степени кандидата технических наук

г. Санкт-Петербург 2012г.

Теоретическая часть работы выполнена на кафедре компьютерной и прикладной оптики Санкт-Петербургского национального исследовательского университета информационных технологий, механики и оптики, практическая часть работы выполнена в институте лазерной физики ФГУП НПК ГОИ им. С.И. Вавилова.

Научный руководитель профессор, доктор технических наук Кирилловский Владимир Константинович Научный консультант кандидат физико-математических наук Жевлаков Александр Павлович, ФГУП НПК ГОИ им. С.И.

Вавилова

Официальные оппоненты: профессор, доктор технических наук Яковлев Евгений Борисович доцент, кандидат физико-математических наук Венидиктов Владимир Юрьевич, Санкт-Петербургский государственный электротехнический университет ЛЭТИ

Ведущая организация: Федеральное государственное бюджетное учреждение науки Физико-технический институт им. А.Ф. Иоффе Российской академии наук

Защита диссертации состоится 27 ноября 2012г в 17.30 на заседании диссертационного совета Д212.227.04 Приборы и методы измерения (по видам измерений) при СанктПетербургском национальном исследовательском университете информационных технологий, механики и оптики по адресу: 197101, Санкт - Петербург, Кронверкский пр., д.49, ауд. 206.

С диссертацией можно ознакомиться в библиотеке Санкт-Петербургского национального исследовательского университета информационных технологий, механики и оптики

Автореферат разослан л___ ______________ 2012г.

Отзывы и замечания по автореферату (в двух экземплярах), заверенные печатью, просим направлять в адрес Университета: 197101, Санкт - Петербург, Кронверкский пр., д.ученому секретарю диссертационного совета Д212.227.

Ученый секретарь диссертационного совета Д.212.227.кандидат технических наук, доцент С.С. Кисилев

Актуальность темы диссертационного исследования Основой информационных технологий является передовая элементная база, без которой невозможно создание компьютеров, устройств автоматики и коммуникации и т.п.

Современное производство элементов микроэлектроники базируется на проекционной фотолитографии, с помощью которой осуществляется "запись" топологического рисунка микросхемы на фоточувствительном слое с последующим проявлением этого рисунка.

Количество элементов топологии микросхемы, например, транзисторов, определяет уровень е интеграции, который в современных интегральных схемах микропроцессоров и памяти уже превышает 109 элементов/чип. Не только большая информационная мкость, но и уменьшение расстояния между элементами микросхемы приводят к росту скорости передачи сигналов, то есть быстродействия микросхемы в целом. В свою очередь, уровень интеграции чипов определяется минимальным размером топологического рисунка, который может быть воспроизведн на пластине, то есть пространственным разрешением фоторезиста и проекционного объектива.

Для реализации печати интегральных схем (ИС) с топологическим размером элементов 10-20 нм наиболее предпочтительным признан диапазон длин волн 10-14 нм. В данной области спектра прозрачные материалы отсутствуют, поэтому для построения оптической системы в нанолитографических установках используются лишь зеркальные, в основном асферические элементы. Как и в любом степпере/сканере, оптический тракт нанолитографа включает в себя осветительную и проекционную подсистемы, узлы маски и пластины с резистом.

В настоящее время проекционные объективы нанолитографических установок в основном создаются с числовой апертурой NA> 0.3 в сочетании с 4-5 кратным увеличением.

Однако при необходимости получения разрешения элементов с масштабом 10-20 нм в плоскости полупроводниковой пластины маска должна содержать шаблон ИС с размерами элемента рисунка 40-80 нм, что существенно повышает трудоемкость ее изготовления, вызывает трудности устранения на ней дефектов и обуславливает соответствующую стоимость изготовления.

Цель диссертационной работы Разработка, исследование и изготовление проекционного объектива экспериментального нанолитографа с числовой апертурой NA> 0.3 и кратностью увеличения 10 и более.

Задачи исследования 1. Расчет и анализ оптических систем для проекционной фотолитографии в диапазоне длин волн = 11-13.4 нм. Выбор оптимальных дифракционно ограниченных схем нанолитографического объектива.

2. Разработка концепции комплексного технологического подхода к изготовлению высокоточных оптических компонентов содержащих асферические поверхности.

3. Разработка методологии контроля оптических компонентов и систем для проекционной литографии в в диапазоне длин волн = 11-13.4 нм 4. Разработка и экспериментальное исследование комплекса средств контроля для создания образца объектива для проекционной литографии в диапазоне длин волн = 1113.4 нм.

Методы исследования Теоретические исследования основаны на применении положений и соотношений геометрической и физической оптики, в том числе векторной теории дифракции, теории оптических приборов, теории оптических измерений. Использованы компьютерные методы моделирования и оптимизации оптических систем с применением современных программных пакетов.

В экспериментальных исследованиях широко использованы средства современной оптотехники, узлы и элементы оптической измерительной аппаратуры.

Основные положения и результаты исследования, выносимые на защиту 1. Варианты схемных решений объективов для проекционной литографии в экстремальном ультрафиолете. Объективы для проекционной литографии с кратностью увеличения менее 0.1* позволяют создавать в экстремальном ультрафиолете элементы ИС с критическим размером 10-30 нм и существенно снизить трудоемкость изготовления масок.

2. Комплексный технологический подход к изготовлению высокоточных оптических компонентов, содержащих асферические поверхности для работы в экстремальном ультрафиолете. Разработанный комплексный технологический подход, сочетающий метод обработки малоразмерным и крупноразмерным инструментами, обеспечивает изготовление высокоточных оптических компонентов, содержащих асферические поверхности и предназначенных для формирования дифракционно ограниченных изображений в диапазоне длин волн 10-14нм.

3. Методология контроля оптических компонентов и систем для проекционной литографии в экстремальном ультрафиолете. Компьютерная изофотометрия в сочетании с интерферометрией позволяет существенно повысить качество контроля дифракционно ограниченных оптических компонентов и систем для проекционной литографии в экстремальном ультрафиолете.

Научная новизна работы 1. Исследованы и рассчитаны объективы для проекционной фотолитографии в диапазоне длин волн = 11- 13.4 нм.

2. Разработан и апробирован комплексный технологический подход к изготовлению оптических компонентов содержащих асферические поверхности обеспечивающий точность формы СКО

3. Разработаны и изготовлены схемы контроля оптических компонентов содержащих асферические поверхности на базе дифракционного интерферометра.

4. Изготовлен опытный образец проекционного объектива экспериментального нанолитографа с длиной волны экспонирующего излучения = 13.4 нм.

Достоверность научных результатов Достоверность научных результатов обеспечиваются строгостью постановки задач и применяемых математических методов, статистической обработкой данных, согласием расчетных и экспериментально полученных характеристик.

Практическая ценность и реализация 1. Рассчитанные объективы могут применяться в качестве репродукционных подсистем для проекционной литографии и микроскопии в диапазоне длин волн = 11- 13.4 нм.

2. Методы и средства контроля оптических компонентов, содержащих асферические поверхности могут быть использованы для других спектральных диапазонов.

3. Разработанный комплексный технологичекий процесс может применяться для изготовления оптических компонентов содержащих асферические поверхности для других областей спектра.

4. Изготовлен проекционный объектив экспериментального нанолитографа с длиной волны экспонирующего излучения = 13.4 нм с числовой апертурой NA= 0.36 и 12-ти кратным увеличением.

5. Созданы предпосылки для исследования процессов, происходящих в различных типах фоточувствительного слоя на длинах волн = 11- 13.4 нм.

Проводимые исследования поддержаны грантами: проект № 0991 МНТЦ "Физика и техника формирования микрорисунка элементов ИС нанометрового масштаба, базирующихся на взаимодействии интенсивного EUV излучения с веществом", Проект № 3857 МНТЦ Key Technologies of Super-Resolution EUV Nanolithographic System Based on High-Effective Laser-Produced Plasma Source.

Индивидуальный грант: проект № 8790 ФСРМПНТС Разработка программного обеспечения для сопровождения процессов точного формообразования Апробация работы Основные результаты работы представлялись на 1 конференции молодых учных СПбГУ ИТМО, 2004 год; на международных конференциях Оптика лазеров 2006 (26 - июня 2006 года, Санкт-Петербург); Оптика лазеров 2008 (26 - 30 июня 2008 года, СанктПетербург); V Всероссийская межвузовская конференция молодых ученых СПбГУ ИТМО;

Международный оптический конгресс 2008 (20Ц25 октября 2008 год, Санкт-Петербург), Оптика лазеров 2010 (26 - 30 июня 2010 года, Санкт-Петербург).

Публикации По теме диссертации опубликовано 10 печатных работ, 7 из них в изданиях из перечня ведущих рецензируемых научных журналов и изданий ВАК РФ, в том числе получен патент на полезную модель №:93999 Репродукционный зеркальный объектив для нанолитографии от 10 мая 2010 года; при использовании результатов, полученных в ходе выполнения работы, получен патент на полезную модель №102120 Космический телескоп от 31 июля 2010 года.

ичный вклад автора Непосредственно автором проведены теоретические исследования, выполнен расчет объективов для проекционной литографии в экстремальном ультрафиолете, разработан комплексный технологический процесс, схемы контроля оптических компонентов объектива экспериментального нанолитографа, участие в выполнении работ по изготовлению опытного образца объектива эксперементального нанолитографа Структура и объем работы Диссертация состоит из введения, пяти глав, заключения, библиографического списка литературы из 130 наименований, содержит 160 страниц основного текста, 56 рисунков и таблиц, 4 приложения.

СОДЕРЖАНИЕ РАБОТЫ

Введение содержит обоснование актуальности темы диссертационной работы, формулировку цели работы и задач исследования, защищаемых положений, научной новизны и практической ценности полученных результатов.

Глава 1. Текущее состояние проекционной фотолитографии.

Приведены данные об изменении степени интеграции микросхем в зависимости от размера минимального элемента. Согласно ITRS 2009 (The International Technology Roadmap for Semiconductors) топологические нормы DRAM в 2015 году составят 16 нм, в 2025 году менее 10 нм.

Для дифракционно-ограниченных оптических систем с круглым зрачком, работающих с когерентным излучением, размер минимального элемента определяется согласно критерию Релея, т.е. числовое значение минимального элемента прямо пропорционально длине волны экспонирующего излучения, характеризуемого длиной волны :

0.R n NA (1.1) где - длина волны излучения, NA - числовая апертура проекционного объектива, n - показатель преломления среды между объективом и плоскостью изображения.

В фотолитографии минимальный размер элемента определяют как amin kn NA (1.2) где k1 Цтехнологический коэффициент, определяемый уровнем развития технологии и включающий в себя качество волнового фронта проекционного объектива, контраст резиста и т.п. характеристики.

Разрешение оптической системы можно повысить не только за счет уменьшения длины волны экспонирующего излучения и повышения числовой апертуры, но и увеличения показателя преломления среды между объективом и плоскостью фоторезиста за счет введения иммерсионной жидкости, а именно - фторированной воды с показателем преломления n=1.47. Указанное обстоятельство позволяет получать в фотолитографическом процессе на длине волны раб=0.193 мкм минимальный размер элемента порядка amin=36 нм при технологическом коэффициенте k1=0.180.28 и amin=22 нм при технологическом коэффициенте k1 =0.140.22.

Развитие наноиндустрии возможно с применением других методов формирования структур интегральных микросхем с топологическими нормами менее 100 нм:

рентгенолитография (EUV - литография) (раб=0.4 5.0 нм); электронно-лучевая литография (раб < 0.01 нм); ионная литография (раб< 0.001 нм). Мировая практика показывает, что EUV - литография является наиболее перспективной технологией.

В качестве потенциального фоторизиста перспективным направлением можно считать фоторезисты толщиной порядка 100 нм на основе полиметилметакрилата относящегося к группе акриловых полимеров с чувствительностью, составляющей порядка 2-3 мВт/см2.

Приведены данные о состоянии работ зарубежных компаний EUV LLC, ASML, Carl Zeiss, Oxford Instruments, Canon Inc., Nikon Corp., работающих в области создания оборудования для EUV - литографии, представлены принципиальные схемы стендов для EUV - литографии, выполненные в рамках проекта ENEA (Италия) и проект EWMS (Япония) и ряда других.

Рассмотрено положение с EUV - литографией в РФ. Отмечено, что, несмотря на отсутствие государственной программы по развитию проекционной нанолитографии, в рамках программ Российской академии наук, грантов РФФИ, ряда программ Росатома, грантов МНТЦ ведутся поисковые работы в области проекционной литографии на длине волны 13.5 нм.

Основные работы по созданию и оснащению приборной базой лабораторных стендов для EUV - литографии ведутся двумя организациями:

- Институт физики микроструктур Российской Академии Наук (ИФМ РАН), Нижний Новгород.

- Физико-технический институт (ФТИ) им. Иоффе, Санкт - Петербург.

Работы, в обеих организациях, сконцентрированы в следующих направлениях:

разработка источника EUV излучения; разработка высокоапертурнного коллектора излучения; разработка системы освещения маски (гомогенизатор); разработка радиационностойких фильтров спектральной очистки; разработка отражающих масок; разработка проекционных EUV объективов; разработка средств контроля оптических элементов EUV объективов; разработка системы согласованного сканирования маски и пластины с фоторезистом (диапазон сканирования >30 мм, совмещение 4-6 нм); разработка фоторезиста.

Указанные направления исследований в РФ в области EUV-литографии определяют возможности для формирования инфраструктуры производства высокоточных оптикоэлектронных систем и развития микроэлектроники.

Глава 2. Проекционные оптические системы для фотолитографии в экстремально коротком ультрафиолете.

Оптическая система, работающая в EUV - диапазоне, должна обладать рядом требований, обусловленных технологическим процессом. Применительно к оптической системе для промышленного применения эти требования сформулированы следующим образом:

1. Рабочая длина волны р, мкм: 0.012. Линейное увеличение системы, крат: 43. Линейное поле системы вдоль одного измерения, мм: 224. Конструкция оптической системы должна обеспечивать доступность плоскостей предмета и изображения для размещения шаблона и подложки, включая средства сканирования.

5. Оптическая система должна иметь место для размещения физической апертурной диафрагмы.

6. Зрачок системы должен иметь форму круга. Не допускается центральное экранирование зрачка.

7. Виньетирование пучков по полю зрения не допускается.

8. Оптическая система должна обеспечивать телецентрический ход главных лучей в пространстве изображений и заданный интервал углов главного луча относительно маски в пространстве предметов.

9. Углы падения лучей на элементы объектива не должны превышать 5 градусов 10. Дисторсия оптической системы, нм: 5-11. Расчетное среднеквадратичное отклонение волнового фронта: 0.03р На сегодняшний день известно 4 класса оптических систем для использования в EUV - диапазоне:

1. Центрированные оптические системы с экранированием.

2. Центрированные оптические системы без экранирования.

3. Гибридные оптические системы.

4. Оптические системы с использованием киноморфных элементов.

Наиболее широкое распространения получили объективы с центральным экранированием для экспериментальных установок и объективы с внеосевым ходом лучей для промышленных.

В рамках работы были рассчитаны несколько вариантов оптических систем, как для экспериментальной установки, так и для промышленной.

Объективы для экспериментальных установок строятся на базе модифицированного объектива Шварцшильда. Модификация объектива осуществляется путем отступления от условия концентричности зеркал. Данное обстоятельство продиктовано необходимостью расширения поля изображения и достижения дифракционного качества изображения по полю.

На рисунке 2.1 представлена оптическая схема объектива экспериментального нанолитографа с задней числовой апертурой 0.36, с полем изображения 0.85x0.85 мм, дисторсия объектива - 3 нм. Показано, что качество изображения, формируемое объективом на рабочей длине волны, позволяет формировать периодические структуры с шагом полос нм (рис.2.2). При этом увеличение объектива составляет 10 крат против существующих 4-5 в аналогичных схемных решениях. Выбор такого увеличения определяется снижением требований к качеству маски.

рис 2.1 Объектив экспериментального нанолитографа центр поля середина поля край поля Рис.2.2 Модель изображения периодических структур с шагом 30 нм на длине волны =13.4 нм Как видно из представленных моделей структур, аберрации центральной части поля настолько малы, что не критичны для качества изображения центральной части поля, (лразмытие изображения определяется эффектом центрального экранирования), в отличие от периферийной части, где происходит заметное ухудшение качества изображения вследствие наличия остаточного астигматизма.

С целью формирования в экспериментальном нанолитографе структур с шагом 10 нм в рамках работы был разработан четырехзеркальный объектив, который представлен на рисунке 2.3. Объектив имеет заднюю числовую апертуру 0.49 и поле изображения 0.25x0.мм, дисторсия объектива - 3 нм.

рис.2.3. Объектив экспериментального нанолитографа с числовой апертурой 0.Показано, что качество изображения, формируемое объективом на рабочей длине волны, позволяет формировать периодические структуры с шагом полос 10 нм (рис.2.4).

центр поля край поля Рис.2.4 Модель изображения периодических структур с шагом 10 нм на длине волны =13.4 нм Точность формы оптических компонентов зеркальных систем определяется по следующей формуле (2.1) rmsком п р (2.1) 14 N Для случая двухзеркальной системы точность формы каждого из зеркал должна составлять СКО0.7 нм при шероховатости поверхности зеркала порядка 5. Указанные точности определяют требования к методам обработки и средствам контроля, используемых в технологическом процессе.

Так как поверхности зеркал являются асферическими, стандартный метод изготовления асферических зеркал предусматривает использование малоразмерного инструмента. Одним из недостатков малоразмерного инструмента является формирование мелкоструктурной (высокодисперсной) ошибки на обрабатываемой поверхности оптического элемента.

Влияние мелкодисперсной ошибки на интерференционную картину, для объектива экспериментального нанолитографа, показано на рисунке 2.5. Параметры моделирования:

PVошибки=0.002 мкм, а частота ошибки 20 мм-1. Влияние мелкоструктурной ошибки на ФРТ представлено на рисунке 2.6.

Рис. 2.5. Модель интерферограммы с мелкоструктурной ошибкой идеальная ФРТ ФРТ с мелкоструктурной ошибкой рис. 2.6.

Таким образом, необходимо дополнительно сформулировать требования не только к форме рабочей поверхности оптического компонента, но и к характеру мелкоструктурной ошибки, присутствие которой вызывает перераспределение энергии внутри ФРТ, что приводит к ухудшению качества изображения, появлению дополнительного рассеянного света в изображении, формируемом проекционным объективом. Определение допустимой мелкоструктурной ошибки определяется для каждой из поверхностей объектива индивидуально.

Выполнение всех требований к проекционным системам для фотолитографии возможно только в многозеркальных системах. На рисунке 2.7-2.8 представлены системы, построенные на основе нескольких зеркал, и имеющие ось симметрии, полезное поле которых имеет форму дуги, а ее центр расположен на оптической оси системы. Наличие оси симметрии позволяет эффективно применять известные методы проектирования центрированных оптических систем, а внеосевое расположение полезного участка поля позволяет получить круглый зрачок, свободный от центрального экранирования, и обеспечить в системе физическую диафрагму.

Радиус дуги, СКО, Число мм Штреля 26 0.038 0.26,5 0.039 0.27,5 0.057 0.28,0 0.028 0.NAТ = 0.25, дисторсия 5 нм, ширина дуги 2 мм, телецентрика - 0.3 мрад.

Оптическая схема Качество изображения по полю рис. 2.7 Шестизеркальный проекционный объектив для EUV- фотолитографии Радиус дуги, СКО, Число мм Штреля 29 0.02 0.29,35 0.025 0.29,7 0.03 0.30,0 0.045 0.NAТ=0.36, дисторсия 3 нм, ширина дуги - 1 мм, телецентрика - 1 мрад Оптическая схема Качество изображения по полю рис. 2.8 Восьмизеркальный проекционный объектив для EUV- фотолитографии Глава 3. Комплексный технологический подход к изготовлению оптических компонентов экспериментального нанолитографа.

В третьей главе рассматривается комплексный технологический процесс обработки оптических компонентов, содержащих асферические поверхности. Показано, что задача точного формообразования асферических поверхностей, безусловно, решается за счет автоматизации производства, что в свою очередь требует разработки управляемых технологических процессов, допускающих возможности коррекции профиля формируемой поверхности на любой стадии изготовления поверхности. В основе комплексного процесса лежит многопараметрическое управление малоразмерным и полноразмерным инструментами на стадии тонкого шлифования и доводочного полирования. Процесс используется для обработки оптических элементов на основе получения топографической карты; отклонений, измеряемых по задаваемому профилю детали.

Разработка управляемого технологического процесса изготовления оптического компонента, содержащего асферическую поверхность, включая разработку средств контроля повышенной точности, является сложной задачей, от решения которой зависит возможность изготовления объектива для целей EUV-литографии. Для реализации целей необходимо:

1. Разработать математический аппарат автоматизированного процесса обработки оптических компонентов содержащих асферические поверхности.

2. Разработать средства контроля с точностью, необходимой для решения задачи изготовления оптических компонентов для целей EUV-литографии.

3. Определить связи между устройствами контроля и оборудованием, задействованным в технологическом процессе, позволяющими обеспечить высокую сходимость технологического процесса формообразования.

Рассмотрены особенности изготовления асферических поверхностей малым инструментом. Выполнено математическое моделирование процесса съема материала малым инструментом. Определено понятие статистической эпюры съема материала (r), которая представляет выраженный в относительных единицах профиль поверхности, образующийся при движении инструмента с эксцентриситетом е по поверхности неподвижной заготовки.

Движение рассматривается за характерный для системы период времени, например, за один цикл поступательного движения инструмента. Показано, что для данного случая эпюра съема (рис. 3.1) имеет следующий вид (формула 3.1) и тогда съем в выбранной зоне имеет величину, определяемую выражением (3.4) 1 при r ru e r e2 ru (3.1) (r) arccos при ru e r ru e 0 при r ru e Тогда, средняя скорость относительного движения детали и инструмента определяется как (3.2) vt wg ewu cos vср t n;

(3.

,где vn ewu sin 2) рис. 3.wg-угловая скорость детали, wu-угловая скорость инструмента, -радиус зоны обработки, t-проекция суммарной скорости на касательную к окружности , n-проекция суммарной скорости на направление е Тогда средняя скорость движения инструмента будет определяться как 1 wд wue wue vср (vt2 vn )1/ 2 d [1 2 cos ( )2]1/ 2 d (3.3) wg wд 0

Работа произвольного элемента площади эпюры dS в выделенной зоне равна d d А vсрqds (r), q давление инструмент а под площадью dS vr ( ) d dА vср q (r) ds vr ( ) s Съем в выбранной зоне равен, в соответствие с теорией Престона, и будет определяться как kdA (,vср ), где k - технологический коэффициент (3.4) 2 d Зная съем в каждой локальной зоне, составляется карта съема по всей поверхности.

Отмечено, что при использовании метода малого инструмента при обработке асферических поверхностей образуется мелкоструктурная ошибка, вызываемая особенностью технологического процесса обработки малым инструментом, что приводит к необходимости выглаживания детали при помощи метода маски полноразмерным инструментом.

Глава 4. Средства контроля, обеспечивающие комплексный технологический процесс в отношении изготовления компонентов для EUV - литографии.

В главе 4 показано, что качество асферической поверхности определяется, с одной стороны, точностью процесса изготовления, а, с другой стороны, точностью систем контроля формы этой поверхности, поэтому обоснованный выбор средства контроля является важной частью технологического процесса. Для реализации комплексного технологического процесса требуется применение некоего измерительного комплекса, в состав которого входят различные по физическому принципу средства контроля. Такое сочетание методов позволяет с большей вероятностью получить истинное значение измеряемой величины.

используемые для изготовления сложных объективов. Средства контроля разделяются на две группы: предназначенные для контроля только оптических компонентов и средства контроля, предназначенные для контроля объективов в целом, в частности для его доводки и юстировки.

В главе приведены методы контроля асферических поверхностей и их точностные характеристики. Рассмотрены основные погрешности интерферометрического контроля и методы их минимизации.

В качестве базового средства контроля предлагается использовать PDI - интерферометр и его различные модификации (рис. 4.1, 4.2). Выбор PDI - интерферометра обусловлен требуемой точностью изготовления формы поверхности оптического компонента (СКО<0.01, =0.633 мкм). Дальнопольное распределение интенсивности света дифрагированного на точечном отверстии представлено на рис. 4.3, погрешности дифрагированного волнового фронта на точечном отверстии приведены в таблице 4.1.

Однако, комплексный технологический процесс должны обеспечивать методы контроля, отличные по своему физическому принципу, но обеспечивающие количественную оценку одних и тех же характеристик оптической системы. В качестве такого метода, дополняющего интерферометрию, предложен метод компьютерной изофотометрии, позволяющий регистрировать изменение освещенности в пятне рассеяния в диапазоне 104 105 и рассчитывать ФРТ, ЧКХ ФКЭ исследуемого объектива в автоматическом режиме.

В рамках выполнения проекта стенды контроля объектива реализованы в двух вариантах (рис. 4.4,4.5):

- стенд производственного контроля на базе PS/PDI интерферометра;

- стенд лабораторного контроля и юстировки на базе интерферометра ZYGO XP.

Рис. 4.1 Базовая схема PDI-интерферометра. - лазер, 2, 3 - осветительная система, 4 - наклонное зеркало, 5 - точечная диафрагма, 6, рис. 4.3. Дальнопольное распределение 7 - встречные коллиматорные объективы, 8 - интенсивности света дифрагированного на плоское автоколлимационное зеркало, 9 -11 - точечном отверстии.

наблюдательный микроскоп с линзой Бертрана, 12 - цифровая камера, 13 - компьютер, 14 - измеряемая поверхность.

Таблица 4.Погрешность волнового фронта PDIинтерферометра Погрешность Диаметр отверстия, волнового фронта, мкм мкм 4.01 0.002 0.00Рис. 4.2. Схема PS/PDI-интерферометра для контроля объектива в проходящем свете.

1.34 0.001 0.000.5 0.000рис. 4.4 Cтенд производственного контроля на базе PS/PDI интерферометра. 1- источник лазерного излучения, 2-точечная диафрагма и дифракционная решетка, 3 - диафрагма PS/PDI рис.4.5 Стенд лабораторного контроля и юстировки на базе интерферометра ZYGO XP Глава 5. Экспериментальные исследования по созданию объектива для EUVлитографии.

Задачей экспериментального исследования являлось:

1.Изготовление оптических компонентов объектива экспериментального нанолитографа.

2. Юстировка объектива с числовой апертурой 0.36 и увеличением 12 крат для экспериментального нанолитографа.

3. Анализ полученных результатов.

Стратегия изготовления объектива для экспериментального нанолитографа основывается на том, что профилем первичного зеркала возможно компенсировать ошибки вторичного зеркала. Поэтому первоначально были рассмотрены особенности изготовления именно вторичного зеркала.

На первоначальном этапе разработки оптической схемы экспериментального нанолитографа был проведен анализ источников ошибок формы оптической поверхности и пути их минимизации.

На разных стадиях производства и эксплуатации эти ошибки разные. На стадии изготовления это:

- остаточная технологическая ошибка изготовления зеркала;

- гравитационная ошибка при производственном и аттестационном контроле зеркала;

- ошибка установки зеркала в схему контроля.

Для конструкторской реализации системы контроля асферической поверхности была выбрана схема компенсатор+изготавливаемая асферическая поверхность. Основные технологические проблемы при работе с компенсационной схемой связаны с установкой компенсатора относительно изготавливаемой поверхности и наличием агомотетичных искажений интерференционной картины. В рамках настоящей работы были предложены специальные технические решения, которые были реализованы (рис. 5.1).

а б Рисунок 5.1 а) Схема контроля вторичного зеркала объектива экспериментального нанолитографа 1- изготавливаемое зеркало, 2 - граница раздела между ближайшей сферой и асферической поверхностью, 3- ближайшая сфера, 4 - компенсатор, 5 - технологическая сфера компенсатора, 6- обрабатываемая поверхность, 7- асферическая поверхность.

б) Конструкторская реализация схемы контроля.

В главе приведен технологический процесс изготовления вторичного зеркал, который состоит из двух этапов: изготовление ближайшей сферы, и изготовление непосредственно самой асферической поверхности.

Изготовление ближайшей сферы осуществлялось посредством метода маски в три технологических перехода (таблица 5.1). Для расшифровки интерферограмм использовались программы: Zebra, MetroPro, QuickFringe, что минимизирует алгоритмическую составляющую методической погрешности контроля.

Таблица 5.1.

Интерферограмма PV, k 0.3 0.2 0.Номер этапа 1 2 Изготовление асферических поверхностей для целей EUV - литографии неизбежно вынуждает применять для обработки очень малые инструменты и отказаться от вращения детали в пользу поступательного движения инструмента с небольшим эксцентриситетом (е), что предъявляет очень высокие требования к выбору режимов обработки. Действительно, если wgY>>wue, траектория любой точки инструмента становится почти спиральной, что приводит к появлению на поверхности деталей направленных (концентрических) следов обработки. Уменьшение направленности следов обработки за счет уменьшения wg существенно влияет на производительность обработки (процесса удаления материала), что может свести на нет все преимущества обработки малым инструментом.

В связи с этим важнейшей и принципиальной задачей работы было подтверждение возможности существенного улучшения фактуры обработанных малым инструментом поверхностей, т.е. доказательство возможности и целесообразности применения малых инструментов при высокопроизводственном процессе изготовления асферических деталей высокой точности. Показано изменение формы интерферограммы вторичного зеркала экспериментального нанолитографа на различных этапах обработки. На деталях практически отсутствуют направленные следы обработки и местные ошибки, однако присутствует мелкоструктурная ошибка (таблица 5.2.) Таблица 5.2.

Фрагмент Интерферогра интерференционной мма полосы с мелкоструктурная ошибкой PV, k, - - 0.=0.633мкм.

В процессе экспериментальных исследований были уточнены режимы шлифования и полирования малыми инструментами. Несмотря на большое разнообразие применяемых режимов обработки, можно выделить некоторые общие моменты.

На начальных стадиях шлифования, при отступлении обрабатываемой асферической поверхности от номинальной сферы более 10-15 мкм, лучшие результаты дает применение металлических инструментов (латунь) и интенсивные режимы обработки (давление до 5-Н/см2, частота вращения заготовки до 100 об/мин). На заключительной стадии шлифования, при погрешностях обрабатываемой асферической поверхности до 2 - 10 мкм и менее, необходимо применять инструменты из оргстекла несколько большего размера и существенно снижать интенсивность режимов обработки: давление - 1-3 Н/см2, wg = 15-об/мин, e = 0.5 мм, wu=150-200 об/мин, так как время обработки становится сравнимым со временем радиального перемещения инструмента, а остановка сеанса обработки в произвольных точках может привести к появлению чрезвычайно трудно устранимых последующей обработкой местных ошибок.

Все перечисленные выше рекомендации носят сугубо практическую направленность и соответствуют тому качеству асферической поверхности, которое хорошо иллюстрирует приводимые в таблице 5.2 интерферограммы. Весьма сложный характер связи между режимами обработки и величиной зональной и местной ошибок, в том числе мелкоструктурных, требует отдельного изучения.

Доводка вторичного зеркала, согласно комплексному технологическому процессу осуществляется методом маски. Задачей доводки является заглаживание мелкоструктурной ошибки и ярко выраженных зон, приведены интерферограммы зеркала до доводки и после доводки (таблица 5.3.) Таблица 5.Малоразмерный Этап Полноразмерный инструмент инструмент Фрагмент интерференционной полосы с минимизированной мелкоструктурной ошибкой Шероховатость поверхности, скол0.1 нм PV, k 0.09 0.Показана погрешность формы изготовленного зеркала после доводки, разложение волнового фронта по коэффициентам Цернике на контрольной длине волны = 0.633 мкм и пересчет этих коэффициентов на рабочую длину волны = 0.0134 мкм. Таким образом, доказано, что качество изготовленной детали хоть и является высоким, в тоже время не удовлетворяет заданным требованиям.

В работе рассмотрена возможность компенсации ошибок профиля изготовленного вторичного зеркала профилем первичного зеркала. Такое моделирование можно провести в программе Zemax, наиболее полно реализующей модель расчета пространственных систем.

Поскольку стратегия изготовления объектива экспериментального нанолитографа основывается на том, что профилем первичного зеркала возможно компенсировать ошибки вторичного зеркала, схему контроля первичного зеркала целесообразно представить как схему контроля всего объектива и реализовать в виде стенда на базе классического интерферометра сдвига для первых итераций, т.е. до формирования сколь-нибудь приемлемого качества волнового фронта, после чего становится возможным использование интерферометра PS/PDI.

Был проведен анализ качества изображения объектива экспериментального нанолитографа в схеме аттестационного контроля, приведенной в автореферате на рисунке 4.5. Показана возможность компенсации ошибок волнового фронта взаимным разворотом оптических компонентов, входящих в состав нанолитографа. На рисунке 5.2 показаны пятна рассеяния объектива, ФРТ объектива, полученные по методу восстановления ФРТ из интерферограммы и изофотометрией соответственно. На рисунке 5.3. показана ожидаемая форма интерферограммы объектива и реальная интерферограмма объектива на длине волны =0.633 мкм.

Интерферограмма Zygo XP (плоскость изображения) Метод изофотометрии (плоскость предмета) Рис. 5.2. Изображение точки, формируемой объективом экспериментального нанолитографа на длине волны =0.633 мкм Модель Реальная интерферограмма PV=0.02 ; PV=0.1 ;

к к СКО = 0.003 СКО=0.02 к к Рис. 5.3. Сравнение модельной и реальной интерферограммы объектива экспериментального нанолитографа Из анализа качества волнового фронта, графика концентрации энергии, представленного в виде зависимости процентного содержания энергии в точке от канонических координат следует, что изготовленный объектив экспериментального нанолитогрофа имеет дифракционно-ограниченное качество изображения и удовлетворяет критерию Марешаля, т.е. СКО волнового фронта лучше чем /14, = 0.633 мкм., и составляет /15, = 0.633 мкм.

Показано, что оптическая система экспериментального нанолитографа позволяет формировать изображение не хуже 200 нм на рабочей длине волны = 0.0134 мкм.

Заключение 1) Разработаны схемные решения дифракционно ограниченных объективов для фотолитографии в экстремальном ультрафиолете, позволяющих формировать элементы с характеристическим размером 530 нм.

2) Разработано схемное решение оптического тракта экспериментального нанолитографа, позволяющее использовать объективы с числовой апертурой 0.3 и 0.45 в одной конструкции.

3) Разработано схемное решение оптического тракта промышленной установки сканер-степпера с использованием объектива с числовой апертурой 0.25.

4) Проанализированы требования к средствам контроля оптических компонентов.

5) Создана аппаратура контроля, обеспечивающая точность лучше 0.01k, k = 0.6мкм.

6) Разработаны схемы контроля объектива экспериментального нанолитографа.

7) Проанализировано влияние мелкоструктурной ошибки поверхности на качество оптического изображения.

8) Разработан и реализован комплексный технологический процесс с использованием доводки поверхности на основе метода маски.

9) Изготовлены оптические компоненты объектива экспериментального нанолитографа.

10) Изготовлен опытный образец объектив экспериментального нанолитогрофа, позволяющего разрешать элементы порядка не более 200 нм.

11) Предложена оригинальная схема широкоапертурного четырехзеркального объектива для нанолитографической установки.

По теме диссертации опубликованы следующие работы в публикациях из перечня ВАК 1) Е.В. Гаврилов, А.П. Жевлаков, С.В. Кащеев и др. Трансформация пространственных характеристик излучения эксимерного лазера Оптика и Спектроскопия, 2011, том 110, №2) М.И Бакаев.; Н.Б. Вознесенский; Е.В. Гаврилов; А.П. Жевлаков; В.К. Кирилловский;

П.В. Орлов Анализ изображения объектива для формирования наноструктур методом компьютерной изофотометрии. Оптика и Спектроскопия, 2010, том 109, № 3) Патент на полезную модель №102120 Космический телескоп от 31 июля 2010 года.

4) Патент на полезную модель №:93999 Репродукционный зеркальный объектив для нанолитографии от 10 мая 2010 года.

5) И.Ю.Богданов, Е.В.Гаврилов, В.К.Кирилловский Особенности контроля объектива для формирования наноструктур Научно-технический вестник Санкт-петербургского государственного университета информационных технологий, механики и оптики,206) Н.Б. Вознесенский; Е.В. Гаврилов; А.П. Жевлаков; В.К. Кирилловский; П.В. Орлов Интерференционный контроль асферических компонентов объектива для нанолитографии. "Журнал технической физики", 2007, том.77, вып.7) Е.В. Гаврилов, В.К. Кирилловский Современные кинопроекционные объективы и их контроль Оптический журнал, Том 72, #10, Октябрь, 20в прочих публикациях 8) Е.В. Гаврилов, В.К. Кирилловский Инновационные методы контроля при изготовлении прецизионных асферических поверхностей // уч. пособие, СПб.:

СПбГУ ИТМО, 209) А.Н.Андреев, Е.В.Гаврилов, Г.Г. Ишанин и др. Оптические измерения. М.

Издательско-книготорговый дом ЛОГОС, 2010) Н.Б. Вознесенский, Е.В. Гаврилов, В.К. Кирилловский Концепции оптических методов создания наноструктур// Современные технологии: сб. науч. ст. - СПб.:

СПбГУ ИТМО, 2004.

Авторефераты по всем темам  >>  Авторефераты по техническим специальностям